LANGUAGE LANG_NORWEGIAN, SUBLANG_NEUTRAL /* Menu */ IDC_FDEBUG MENU BEGIN POPUP "&Fil" BEGIN MENUITEM "Koble &til", IDM_FILE_CONNECT MENUITEM "Koble &fra", IDM_FILE_DISCONNECT, GRAYED MENUITEM SEPARATOR MENUITEM "&Clear display", IDM_FILE_CLEARDISPLAY MENUITEM SEPARATOR MENUITEM "&Start Opptak", IDM_FILE_STARTCAPTURE MENUITEM "S&topp Opptak", IDM_FILE_STOPCAPTURE, GRAYED MENUITEM SEPARATOR MENUITEM "&Lokalt Ekko", IDM_FILE_LOCALECHO MENUITEM SEPARATOR MENUITEM "&Avslutt", IDM_EXIT END POPUP "&Hjelp" BEGIN MENUITEM "&Om...", IDM_ABOUT END END /* Accelerators */ IDC_FDEBUG ACCELERATORS BEGIN 63, IDM_ABOUT, ASCII, ALT // "?" 47, IDM_ABOUT, ASCII, ALT // "/" END /* Dialogs */ IDD_ABOUTBOX DIALOGEX 22, 17, 259, 210 STYLE DS_SHELLFONT | DS_MODALFRAME | WS_CAPTION | WS_SYSMENU CAPTION "Om FreeLoader feilsøker" FONT 8, "MS Shell Dlg" BEGIN CONTROL "FreeLoader feilsøker v1.0\nopphavsrett (C) 2003\nlaget av Brian Palmer (brianp@reactos.org)", IDC_STATIC, "Static", SS_LEFTNOWORDWRAP | WS_GROUP, 53, 28, 122, 26 DEFPUSHBUTTON "OK", IDOK, 183, 189, 44, 14, WS_GROUP ICON IDI_FDEBUG, IDC_STATIC, 19, 30, 20, 20 EDITTEXT IDC_LICENSE_EDIT, 53, 63, 174, 107, ES_MULTILINE | ES_READONLY | WS_VSCROLL END IDD_CONNECTION DIALOGEX 0, 0, 196, 100 STYLE DS_SHELLFONT | DS_MODALFRAME | WS_POPUP | WS_CAPTION | WS_SYSMENU CAPTION "Tilkobling valg" FONT 8, "MS Shell Dlg" BEGIN LTEXT "Skriv COM port (f.eks COM1):", IDC_STATIC, 7, 7, 108, 8 EDITTEXT IDC_COMPORT, 7, 17, 182, 14, ES_AUTOHSCROLL LTEXT "Enter the baud rate (e.g. 115200):", IDC_STATIC, 7, 38, 114, 8 EDITTEXT IDC_BAUTRATE, 7, 48, 182, 14, ES_AUTOHSCROLL DEFPUSHBUTTON "OK", IDOK, 45, 79, 50, 14 PUSHBUTTON "Avbryt", IDCANCEL, 100, 79, 50, 14 END IDD_CAPTURE DIALOGEX 0, 0, 251, 95 STYLE DS_SHELLFONT | DS_MODALFRAME | WS_POPUP | WS_CAPTION | WS_SYSMENU CAPTION "Capture File" FONT 8, "MS Shell Dlg" BEGIN LTEXT "Opptak fil Navn:", IDC_STATIC, 7, 17, 62, 8 EDITTEXT IDC_CAPTUREFILENAME, 7, 26, 181, 14, ES_AUTOHSCROLL PUSHBUTTON "&Bla gjennom", IDC_BROWSE, 194, 26, 50, 14 DEFPUSHBUTTON "OK", IDOK, 139, 74, 50, 14 PUSHBUTTON "Avbryt", IDCANCEL, 194, 74, 50, 14 END /* String Tables */ STRINGTABLE BEGIN IDS_APP_TITLE "FreeLoader Debugger" IDS_HELLO "Hei verden!" IDC_FDEBUG "FDEBUG" END STRINGTABLE BEGIN IDS_LICENSE "Dette programmet er gratis programvare; du kan distribuere det og/eller endre det under betingelsene av GNU General Public License som er utgitt av Free Software Foundation; version 2 av lisensen, eller (etter din mening) alle senere versjoner.\r\n\r\nDette programmet er utgitt i håp for at det skal kunne brukes, men DET ER INGEN GARANTIER; uten heller forutsatt garantier av SALGBARHET eller SIKKETHET FOR EN ENKELTHET FORMÅL. Se på GNU General Public Lisensen for mere detaljer.\r\n\r\nDu skal ha motatt en kopi av GNU General Public Lisensen sammen med denne programmet; hvis du ikke har motatt det, skriv til Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA." END