diff --git a/sdk/lib/cmlib/hivewrt.c b/sdk/lib/cmlib/hivewrt.c index 76bf72b1b45..46800c21741 100644 --- a/sdk/lib/cmlib/hivewrt.c +++ b/sdk/lib/cmlib/hivewrt.c @@ -13,6 +13,8 @@ static BOOLEAN CMAPI HvpWriteLog( PHHIVE RegistryHive) { + UNIMPLEMENTED_ONCE; +#if 0 // UNIMPLEMENTED ULONG FileOffset; UINT32 BufferSize; UINT32 BitmapSize; @@ -22,13 +24,6 @@ HvpWriteLog( ULONG LastIndex; PVOID BlockPtr; BOOLEAN Success; - static ULONG PrintCount = 0; - - if (PrintCount++ == 0) - { - UNIMPLEMENTED; - } - return TRUE; ASSERT(RegistryHive->ReadOnly == FALSE); ASSERT(RegistryHive->BaseBlock->Length == @@ -139,7 +134,7 @@ HvpWriteLog( { DPRINT("FileFlush failed\n"); } - +#endif // UNIMPLEMENTED return TRUE; }